CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Priority Encoder

搜索资源列表

  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. 8899

    0下载:
  2. 最高优先级编码器,是特别好的东西,好不容易才弄到的.-highest priority encoder, is especially good things, the result of the hard-won.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9134
    • 提供者:成桥
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. vhdl

    0下载:
  2. 最高优先级编码器和直流电机控制器,供初学者学习使用,方便快捷,有很强的参考价值-The highest priority encoder and DC motor controller, for beginners learning to use, convenient and has a strong reference value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3167
    • 提供者:张楚荀
  1. 8ENCODE

    0下载:
  2. 8位优先编码器 verilog CPLD EPM1270 源代码-8-bit priority encoder verilog CPLDEPM1270 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:112627
    • 提供者:韩思贤
  1. shuziluoji

    0下载:
  2. 数字逻辑的课件 ,讲解很详细。并附74F148 8-Line to 3-Line Priority Encoder的图解-Digital logic courseware, explaining in great detail. With 74F148 8-Line to 3-Line Priority Encoder diagram
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-10
    • 文件大小:2272734
    • 提供者:有天
  1. priority

    0下载:
  2. Priority encoder in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:362654
    • 提供者:Matheus
  1. 4x2_priorityencoder

    0下载:
  2. verilog code for priority encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7158
    • 提供者:sandeep
  1. penc81

    0下载:
  2. 8:1 priority encoder.. Test Bench included-8:1 priority encoder.. Test Bench included..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:721
    • 提供者:harkirat
  1. answermachine5

    0下载:
  2. 这次设计的抢答器主要四部分组成,由优先编码器,寄存器和译码器组成的抢答电路,十进制计数器组成的倒计时电路,555定时器组成的秒脉冲发生器,十六进制计数器组成的计数器。-The design of the Responder mainly of four parts, by the priority encoder, register, and the composition of the answer in the decoder circuit, consisting of decimal c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:311335
    • 提供者:小王珊珊
  1. PRIORITY_ENCODER

    0下载:
  2. A priority encoder is a circuit or algorithm that compresses multiple binary inputs into a smaller number of outputs. The output of a priority encoder is the binary representation of the ordinal number starting from zero of the most significant input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:109690
    • 提供者:swapnil
  1. Priority-encoder

    0下载:
  2. 在Quartus II中用VHDL语言编写的优先级编码器程序-In the Quartus II VHDL language using the priority encoder program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:182900
    • 提供者:林燕
  1. Eight-priority-encoder

    0下载:
  2. 八位的优先编码器 具有优先编码的功能 程序简单易懂-Eight priority encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:37573
    • 提供者:
  1. Priority-encoder

    0下载:
  2. priority encoders(3:8)(2:4)
  3. 所属分类:VHDL-FPGA-Verilog

  1. encoder

    0下载:
  2. 八位优先编码器,是用FPGA写的代码,使用ALTERA 飓风处理器,代码运行速度比较快,验证没有错误-Eight priority encoder, write code using FPGA using ALTERA hurricane processor, code runs faster, verify that no errors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:257606
    • 提供者:沙佑平
  1. 8-to-3-Priority-Encoder

    0下载:
  2.   1.74148:8-3优先编码器(8 to 3 Priority Encoder)-1.74148:8-3 priority encoder (8 to 3 Priority Encoder)
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:15475
    • 提供者:徐林芳
  1. 8-3-priority-encoder

    0下载:
  2. 用verilog硬件描述语言实现的8-3优先编码器-8-3 priority encoder
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-26
    • 文件大小:41076
    • 提供者:丁凤
  1. Priority-encoder

    0下载:
  2. 用VHDL语言编程来实现优先编码器的功能。-VHDL language programming to achieve priority encoder function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2809
    • 提供者:谭莉
  1. PRIORITY-ENCODER

    0下载:
  2. this the vhdl code fot 4:2 priority encoder-this is the vhdl code fot 4:2 priority encoder
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:17541
    • 提供者:asif patel
  1. Exp-08-Priority-Encoder

    0下载:
  2. PRIORITY ENCODER USING MATLAB SOFTWARE
  3. 所属分类:File Formats

    • 发布日期:2017-05-05
    • 文件大小:428488
    • 提供者:rohit
« 12 3 4 5 »
搜珍网 www.dssz.com